作为我的博客的副产品,我在该网站上收集了一些与 FPGA 相关的帖子以及其他一些主题。目前,这些是此处发布的页面:
- logic design技术
- Crossing clock domains
- Clock domains、 related clocks 和 unrelated clocks
- Metastability 和 clock domain crossing的基础知识
- Clock domain crossing 带数据
- 初始化 FPGA 和 resets
- Verilog中的算术
- 在 design中使用 IP
- Constraints 和控制 design tools
- Timing 和 timing constraints
- Timing is everything
- logic design中 timing 的基础知识
- clock period constraint 及其 timing analysis
- 关于 clock period constraint的更多信息
- Timing Closure的艺术
- timing closure策略
- clock period constraint和 clock objects
- 使用 Tcl 命令选择 logic elements
- Timing exceptions
- Timing constraints 和 clock domain crossing
- Timing constraints 为 multi-cycle paths
- 选择 I/O timing的策略
- SDC 语法中的I/O timing constraints
- 验证 timing constraints 是否正确
- 在 SDC timing constraints中使用 wildcards 和 -hierarchical
- FPGA 作为电子元件
- 特定于 FPGA 供应商的主题
- 特定于 AMD FPGA (Xilinx)的主题
- set_input_delay 和 set_output_delay constraints上Vivado的 timing analysis
- set_max_delay 和 set_min_delay上Vivado的 timing analysis
- Vivado: 在 synthesis之后找到“最大频率”
- 比较 Vivado的 block design 文件
- Partial Reconfiguration 与 Vivado: 主页
- 用 Vivado理解 Partial Reconfiguration
- Partial Reconfiguration 和 Vivado的操作方法
- Xilinx Partial Reconfiguration: Reset 和 decoupling
- Remote Update 与 Vivado上的 Partial Reconfiguration
- 在 Versal APAC FPGAs上使用 FIFOs
- 特定于 Intel FPGA (Altera)的主题
- 一般话题
- 关于 Xillybus的页面索引
- Xillybus快速入门指南
- Linux上 Xillybus 的“Hello, world”测试
- Windows上 Xillybus 的“Hello, world”测试
- 自定义 Xillybus IP cores快速入门指南
- 带 Xillybus的简单 data acquisition
- 访问 Xillybus的 device files
- 其他话题
- 有关 Xillinux 和 Smart Zynq的页面索引页